首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Salmonella enterica serovar Heidelberg strains are frequently associated with food-borne illness, with recent isolates showing higher rates of resistance to multiple antimicrobial agents. One hundred eighty S. enterica serovar Heidelberg isolates, collected from turkey-associated production and processing sources, were tested for antimicrobial susceptibility and compared by pulsed-field gel electrophoresis (PFGE) and plasmid profile analysis. The potential for the transfer of resistance between strains was studied by conjugation experiments. PFGE analysis using XbaI digestion identified eight clusters (based on 90% similarity), with the largest containing 71% of the isolates. Forty-two percent of the isolates were resistant to at least 1 of the 15 antimicrobial agents tested, and 4% of the isolates were resistant to 8 or more antimicrobial agents. Resistances to streptomycin (32%), tetracycline (30%), and kanamycin (24%) were most commonly detected. Interestingly, the XbaI PFGE profiles of selective multidrug-resistant strains (n = 22) of S. enterica serovar Heidelberg from turkey-associated sources were indistinguishable from the predominant profile (JF6X01.0022) detected in isolates associated with human infections. These isolates were further differentiated into seven distinct profiles following digestion with the BlnI enzyme, with the largest cluster comprising 15 isolates from veterinary diagnostic and turkey processing environments. Conjugation experiments indicated that resistance to multiple antimicrobial agents was transferable among strains with diverse PFGE profiles.  相似文献   

2.
Salmonella enterica serotype Bredeney has emerged as the third most commonly identified serotype among human clinical isolates referred to the Irish National Salmonella Reference Laboratory in the years 1998 to 2000. A collection of 112 isolates of S. enterica serotype Bredeney collected during the period 1995 to 1999 from animal, food, and human sources from both Ireland and Northern Ireland were studied. Antimicrobial susceptibility testing, pulsed-field gel electrophoresis (PFGE), and DNA amplification fingerprinting (DAF) were performed on all isolates. Plasmid profiles were examined on a subset of 33 isolates. A high proportion (74%) of isolates were susceptible to all antimicrobial agents tested. Resistance to both sulfonamide and trimethoprim was observed in 21% of isolates, and resistance to multiple (five) antimicrobial agents was observed in a single isolate (0.9%). Eight different PFGE patterns were obtained, with 87% of isolates grouping as PFGE type A. PFGE type A was predominant in animals, food, and humans. There was good overall concordance between the groups identified by PFGE and DAF. Overall results indicate that most S. enterica serotype Bredeney isolates in Ireland and Northern Ireland from animal and human sources are clonally related.  相似文献   

3.
This study reports the drug resistance and clonal relationship of 24 Staphylococcus aureus community acquired isolates from patients attending Maputo Central Hospital, Mozambique, during one year (2002-2003). All the isolates produced beta-lactamase, six strains were resistant to tetracycline alone, three were resistant to erythromycin alone and one was resistant to trimethoprim-sulfamethoxazole; 11 were susceptible to all other drugs tested. Only one strain showed a multiple resistance pattern, including methicillin resistance. To investigate the clonal relationships we applied the ERIC AP-PCR and the SmaI PFGE RFLP methods. Overlapping drug resistances with these two molecular profiles, no significant correlation was obtained. The emergence of methicillin resistance in a multiple resistant strain is of great concern for resistance spreading surveillance in Mozambique.  相似文献   

4.
A total of 539 isolates recovered from various clinical sites were collected from 13 hospitals from different regions of Turkey between 1999 and 2002. Susceptibility to penicillin and cefotaxime was determined by the E-test and the remaining antimicrobials were evaluated by disk diffusion tests. Penicillin resistant and intermediate isolates were serotyped and PFGE patterns were analysed. Overall 16 isolates (3%) were resistant to penicillin, and 143 (26.5%) were intermediate. Resistance and intermediate rates were 3.1% and 29.0% respectively in respiratory tract isolates. Multiple resistance (resistance to ≥3 antibiotics) occurred in 81.8% of the penicillin resistant isolates and the most frequent resistance phenotype was penicillin+trimethoprim/sulphamethoxazole (37.7%). Minimum inhibitory concentrations of cefotaxime were lower than 1 mg/ml for all the isolates. The highest rate of resistance was observed for trimethoprim/ sulphamethoxazole (26.6%) followed by doxycycline (12.6%). Resistance to erythromycin was 10.1%, clindamycin 9.9%, chloramphenicol 4.3%, ofloxacin 5.0% and levofloxacin 0.2%. There was no resistance to vancomycin. Resistant isolates belonged to serogroups 9, 23, and 6. The most frequent serogroups among intermediate isolates were 23, 19, 14, 1, 9, and 6. Five distinct PFGE patterns were observed among penicillin resistant isolates. There was no distinct clustering of specific PFGE patterns in the study centres. No correlation between serotypes, resistance and PFGE patterns was found. There seems to be genetic heterogeneity inStreptococccus pneumoniae isolates in Turkey.  相似文献   

5.
Escherichia coli isolates from rectal swabs from 62 chickens and stools from 42 children living in close contact with chickens on the same farms in Kiambu district, Kenya, were compared for their genetic relatedness. Antibiotic susceptibility profiles broadly categorized isolates from the children and from the chickens into two separate clusters: the majority (144; 85.5%) of the E. coli isolates from children were multidrug resistant, while the majority (216; 87.1%) of the E. coli isolates from chickens were either fully susceptible or resistant only to tetracycline. Sixty- and 100- to 110-MDA plasmids were found to encode the transferable resistance to co-trimoxazole and tetracycline. HindIII restriction endonuclease digestion of the 60- and 100- to 110-MDA plasmids produced four distinct patterns for isolates from children and three distinct patterns for isolates from chickens. XbaI digestion of genomic DNA followed by pulsed-field gel electrophoresis (PFGE) analysis produced 14 distinct clusters. There were six distinct PFGE clusters among the isolates from children, while among the isolates from chickens there were seven distinct clusters. Only one PFGE cluster contained isolates from both children and chickens, with the isolates displaying an approximately 60% coefficient of similarity. This study showed that although several different genotypes of E. coli were isolated from children and chickens from the same farms, the E. coli strains from these two sources were distinct.  相似文献   

6.
Escherichia coli O157 isolates from bovine hide (n=117) and beef trimmings (n=32) from a single abattoir were examined by pulsed field gel electrophoresis (PFGE). Using BioNumerics software, dendrograms of isolates from each sample type (i.e. hide and beef trimming) were produced. In assessing the genetic relatedness of isolates, a similarity criterion of 80% was applied. The 117 E. coli O157 hide isolates were grouped into 14 clusters, comprising of 109 different PFGE profiles. Of the 109 different PFGE profiles, 8 were common to multiple isolates (i.e. shared 100% similarity by PFGE).

The 32 E. coli O157 beef trimming isolates produced 28 different PFGE profiles and 2 clusters. Of the 28 PFGE profiles, 2 were common to multiple isolates and the remaining 26 were distinct.

On a number of sampling occasions, isolates displaying identical PFGE patterns were recovered from multiple isolates collected from a single sample type (i.e. hides or trimmings), suggesting cross contamination from contaminated hides/animals to uncontaminated hides/animals and from contaminated beef trimmings to uncontaminated beef trimmings during abattoir operations.  相似文献   


7.
Although Escherichia coli typically colonizes the intestinal tract and vagina of giant pandas, it has caused enteric and systemic disease in giant pandas and greatly impacts the health and survival of this endangered species. In order to understand the distribution and characteristics of E. coli from giant pandas, 67 fecal and 30 vaginal E. coli isolates from 21 giant pandas were characterized for O serogroups, phylogenetic groups, antimicrobial susceptibilities, and pulsed-field gel electrophoresis (PFGE) profiles. In addition, these isolates were tested for the presence of extraintestinal pathogenic E. coli (ExPEC) and diarrheagenic E. coli (DEC) by multiplex PCR detection of specific virulence genes. The most prevalent serogroups for all E. coli isolates were O88, O18, O167, O4, and O158. ExPEC isolates were detected mostly in vaginal samples, and DEC isolates were detected only in fecal samples. Phylogenetic group B1 predominated in fecal isolates, while groups B2 and D were frequently detected in vaginal isolates. Resistance to trimethoprim-sulfamethoxazole was most frequently observed, followed by resistance to nalidixic acid and tetracycline. All except five isolates were typeable by using XbaI and were categorized into 74 PFGE patterns. Our findings indicate that panda E. coli isolates exhibited antimicrobial resistance, and potentially pathogenic E. coli isolates were present in giant pandas. In addition, these E. coli isolates were genetically diverse. This study may provide helpful information for developing strategies in the future to control E. coli infections of giant pandas.  相似文献   

8.
Shigella flexneri serotype 1b is among the most prominent serotypes in developing countries, followed by serotype 2a. However, only limited data is available on the global phenotypic and genotypic characteristics of S. flexneri 1b. In the present study, 40 S. flexneri 1b isolates from different regions of China were confirmed by serotyping and biochemical characterization. Antimicrobial susceptibility testing showed that 85% of these isolates were multidrug-resistant strains and antibiotic susceptibility profiles varied between geographical locations. Strains from Yunnan were far more resistant than those from Xinjiang, while only one strain from Shanghai was resistant to ceftazidime and aztreonam. Fifteen cephalosporin resistant isolates were identified in this study. ESBL genes (bla SHV, bla TEM, bla OXA, and bla CTX-M) and ampC genes (bla MOX, bla FOX, bla MIR(ACT-1), bla DHA, bla CIT and bla ACC) were subsequently detected among the 15 isolates. The results showed that these strains were positive only for bla TEM, bla OXA, bla CTX-M, intI1, and intI2. Furthermore, pulsed-field gel electrophoresis (PFGE) analysis showed that the 40 isolates formed different profiles, and the PFGE patterns of Xinjiang isolates were distinct from Yunnan and Shanghai isolates by one obvious, large, missing band. In summary, similarities in resistance patterns were observed in strains with the same PFGE pattern. Overall, the results supported the need for more prudent selection and use of antibiotics in China. We suggest that antibiotic susceptibility testing should be performed at the start of an outbreak, and antibiotic use should be restricted to severe Shigella cases, based on resistance pattern variations observed in different regions. The data obtained in the current study might help to develop a strategy for the treatment of infections caused by S. flexneri 1b in China.  相似文献   

9.
Strains of Staphylococcus aureus obtained from bovine (n = 117) and caprine (n = 114) bulk milk were characterized and compared with S. aureus strains from raw-milk products (n = 27), bovine mastitis specimens (n = 9), and human blood cultures (n = 39). All isolates were typed by pulsed-field gel electrophoresis (PFGE). In addition, subsets of isolates were characterized using multilocus sequence typing (MLST), multiplex PCR (m-PCR) for genes encoding nine of the staphylococcal enterotoxins (SE), and the cloverleaf method for penicillin resistance. A variety of genotypes were observed, and greater genetic diversity was found among bovine than caprine bulk milk isolates. Certain genotypes, with a wide geographic distribution, were common to bovine and caprine bulk milk and may represent ruminant-specialized S. aureus. Isolates with genotypes indistinguishable from those of strains from ruminant mastitis were frequently found in bulk milk, and strains with genotypes indistinguishable from those from bulk milk were observed in raw-milk products. This indicates that S. aureus from infected udders may contaminate bulk milk and, subsequently, raw-milk products. Human blood culture isolates were diverse and differed from isolates from other sources. Genotyping by PFGE, MLST, and m-PCR for SE genes largely corresponded. In general, isolates with indistinguishable PFGE banding patterns had the same SE gene profile and isolates with identical SE gene profiles were placed together in PFGE clusters. Phylogenetic analyses agreed with the division of MLST sequence types into clonal complexes, and isolates within the same clonal complex had the same SE gene profile. Furthermore, isolates within PFGE clusters generally belonged to the same clonal complex.  相似文献   

10.
Following the identification of a case of severe clinical mastitis in a Saanen dairy goat (goat A), an average of 26 lactating goats in the herd was monitored over a period of 11 months. Milk microbiological analysis revealed the presence of Pseudomonas aeruginosa in 7 of the goats. Among these 7 does, only goat A showed clinical signs of mastitis. The 7 P. aeruginosa isolates from the goat milk and 26 P. aeruginosa isolates from environmental samples were clustered by RAPD-PCR and PFGE analyses in 3 genotypes (G1, G2, G3) and 4 clusters (A, B, C, D), respectively. PFGE clusters A and B correlated with the G1 genotype and included the 7 milk isolates. Although it was not possible to identify the infection source, these results strongly suggest a spreading of the infection from goat A. Clusters C and D overlapped with genotypes G2 and G3, respectively, and included only environmental isolates. The outcome of the antimicrobial susceptibility test performed on the isolates revealed 2 main patterns of multiple resistance to beta-lactam antibiotics and macrolides. Virulence related phenotypes were analyzed, such as swarming and swimming motility, production of biofilm and production of secreted virulence factors. The isolates had distinct phenotypic profiles, corresponding to genotypes G1, G2 and G3. Overall, correlation analysis showed a strong correlation between sampling source, RAPD genotype, PFGE clusters, and phenotypic clusters. The comparison of the levels of virulence related phenotypes did not indicate a higher pathogenic potential in the milk isolates as compared to the environmental isolates.  相似文献   

11.
Isolates of Escherichia coli belonging to clonal group A (CGA), a recently described disseminated cause of drug-resistant urinary tract infections in humans, were present in four of seven sewage effluents collected from geographically dispersed areas of the United States. All 15 CGA isolates (1% of the 1,484 isolates analyzed) exhibited resistance to trimethoprim-sulfamethoxazole (TMP-SMZ), accounting for 19.5% of the 77 TMP-SMZ-resistant isolates. Antimicrobial resistance patterns, virulence traits, O:H serotypes, and phylogenetic groupings were compared for CGA and selected non-CGA isolates. The CGA isolates exhibited a wider diversity of resistance profiles and somatic antigens than that found in most previous characterizations of this clonal group. This is the first report of recovery from outside a human host of E. coli CGA isolates with virulence factor and antibiotic resistance profiles typical of CGA isolates from a human source. The occurrence of “human-type” CGA in wastewater effluents demonstrates a potential mode for the dissemination of this clonal group in the environment, with possible secondary transmission to new human or animal hosts.  相似文献   

12.
Characteristics of Vibrio parahaemolyticus O3:K6 from Asia   总被引:2,自引:0,他引:2       下载免费PDF全文
A variety of serovars of the food-borne pathogen Vibrio parahaemolyticus normally cause infection. Since 1996, the O3:K6 strains of this pathogen have caused pandemics in many Asian countries, including Taiwan. For a better understanding of these pandemic strains, the recently isolated clinical O3:K6 strains from India, Japan, Korea, and Taiwan were examined in terms of pulsed-field gel electrophoresis (PFGE) typing and other biological characteristics. After PFGE and cluster analysis, all the O3:K6 strains were grouped into two unrelated groups. The recently isolated O3:K6 strains were all in one group, consisting of eight closely related patterns, with I1(81%) and I5(13%) being the most frequent patterns. Pattern I1 was the major one for strains from Japan, Korea, and Taiwan. All recently isolated O3:K6 strains carried the thermostable direct hemolysin (tdh) gene. No significant difference was observed between recently isolated O3:K6 strains and either non-O3:K6 reference strains or old O3:K6 strains isolated before 1996 with respect to antibiotic susceptibility, the level of thermostable direct hemolysin, and the susceptibility to environmental stresses. Results in this study confirmed that the recently isolated O3:K6 strains of V. parahaemolyticus are genetically close to each other, while the other biological traits examined were usually strain dependent, and no unique trait was found in the recently isolated O3:K6 strains.  相似文献   

13.
目的:了解北京部分地区弗氏志贺菌4c型(F4c)和2a型(F2a)菌株的分子分型特征。方法:对2005年和2006年自北京部分地区腹泻监测分离的弗氏志贺菌菌株(4c型10株,2a型20株)进行生化鉴定和血清分型,用PCR检测侵袭性抗原基因ipaH,用改良Kirby-Bauer纸片法检测菌株的耐药谱,用脉冲场凝胶电泳(PFGE)对菌株进行分子分型。结果:10株血清型鉴定为F4c的菌株中,有7株间的PFGE图谱存在相当的差异,Dice系数为0.78~0.92,而F2a菌株与大部分F4c菌株间的距离较远(Dice系数小于0.8);F4c和F2a菌株对14种抗生素的耐药谱略呈差异。结论:采用PFGE能够很好地辨别弗氏志贺菌4c型和2a型菌株;弗氏志贺菌4c型菌株具有易变性,可在流行过程中产生PFGE图谱的差异、血清亚型的转换、耐药谱的变化等。  相似文献   

14.
Historically, Vibrio parahaemolyticus infections have been characterized by sporadic cases caused by multiple, diverse serotypes. However, since 1996, V. parahaemolyticus serotype O3:K6 strains have been associated with several large-scale outbreaks of illness, suggesting the emergence of a “new” group of organisms with enhanced virulence. We have applied three different molecular subtyping techniques to identify an appropriate method for differentiating O3:K6 isolates from other serotypes. Pulsed-field gel electrophoresis (PFGE) following NotI digestion differentiated seven closely related subtypes among O3:K6 and related strains, which were distinct from PFGE patterns for non-O3:K6 isolates. Ribotyping and tdh sequencing were less discriminatory than PFGE, but further confirmed close genetic relationships among recent O3:K6 isolates. In vitro adherence and cytotoxicity studies with human epithelial cells showed that O3:K6 isolates exhibited statistically higher levels of adherence and cytotoxicity to host cells than non-O3:K6 isolates. Epithelial cell cytotoxicity patterns were determined with a lactate dehydrogenase release assay. At 3 h postinfection, high relative cytotoxicities (>50% maximum lactate dehydrogenase activity) were found among a greater proportion of recently isolated O3:K6 and closely related strains (75%) than among the non-O3:K6 isolates (23%). A statistically significant relationship between adherence and cytotoxicity suggests that the pathogenic potential of some isolates may be associated with increased adherence to epithelial cells. Our findings suggest that enhanced adherence and cytotoxicity may contribute to the apparent unique pathogenic potential of V. parahaemolyticus O3:K6 strains.  相似文献   

15.
【背景】沙门氏菌是重要的食源性致病菌,其多重耐药现象不容忽视。【目的】分析杭州地区临床来源多重耐药沙门氏菌的耐药特征和感染状况。【方法】利用微量肉汤稀释法对339株沙门氏菌进行14类28种药物的最低抑菌浓度(Minimum Inhibitory Concentration,MIC)测定,对同时耐3类或3类以上药物的多种耐药株进行耐药特征、血清型分布等分析,并对其进行Xba I酶切及脉冲场凝胶电泳(Pulse Field Gel Electrophoresis,PFGE)。【结果】从339株沙门氏菌中检出234株多重耐药株,多重耐药率达69.03%,近3年数据比较结果显示差异无统计学意义(χ2=0.117,P=0.943);以同时耐4-8类药物的菌株多见,合计占总菌株数的56.93%(193/339);大部分多重耐药沙门氏菌(199/234,85.04%)同时耐5-13种药物;菌株的耐药模式较为分散,相对优势的耐药谱为AMP-AMS-NAl-STR-SUL(10株,4.27%)和AMP-STR-TET-MIN-DOX-SUL(7株,2.99%);鼠伤寒单相变种和德尔卑血清型的多重耐药现象较为突出,其多重耐药率分别为97.06%(66/68)和100%(11/11);234株多重耐药沙门氏菌分为162个PFGE带型,相似度为44.2%-100%,其带型呈散在多态性;PFGE带型相同的菌株,其耐药类别和耐药谱不一定相同,PFGE带型不同的菌株,其耐药类别和耐药谱也可能相同。【结论】杭州地区临床来源沙门氏菌多重耐药现象普遍,但耐药谱分散,耐药表型呈多样性,而且PFGE带型呈散在多态性,与耐药表型也不存在对应关系。其基因组特征和主要食物来源有待于进一步研究。  相似文献   

16.
Salmonellosis is one of the most important foodborne diseases affecting humans. To characterize the relationship between Salmonella causing human infections and their food animal reservoirs, we compared pulsed-field gel electrophoresis (PFGE) and antimicrobial susceptibility patterns of non-typhoidal Salmonella isolated from ill humans in Pennsylvania and from food animals before retail. Human clinical isolates were received from 2005 through 2011 during routine public health operations in Pennsylvania. Isolates from cattle, chickens, swine and turkeys were recovered during the same period from federally inspected slaughter and processing facilities in the northeastern United States. We found that subtyping Salmonella isolates by PFGE revealed differences in antimicrobial susceptibility patterns and, for human Salmonella, differences in sources and invasiveness that were not evident from serotyping alone. Sixteen of the 20 most common human Salmonella PFGE patterns were identified in Salmonella recovered from food animals. The most common human Salmonella PFGE pattern, Enteritidis pattern JEGX01.0004 (JEGX01.0003ARS), was associated with more cases of invasive salmonellosis than all other patterns. In food animals, this pattern was almost exclusively (99%) found in Salmonella recovered from chickens and was present in poultry meat in every year of the study. Enteritidis pattern JEGX01.0004 (JEGX01.0003ARS) was associated with susceptibility to all antimicrobial agents tested in 94.7% of human and 97.2% of food animal Salmonella isolates. In contrast, multidrug resistance (resistance to three or more classes of antimicrobial agents) was observed in five PFGE patterns. Typhimurium patterns JPXX01.0003 (JPXX01.0003 ARS) and JPXX01.0018 (JPXX01.0002 ARS), considered together, were associated with resistance to five or more classes of antimicrobial agents: ampicillin, chloramphenicol, streptomycin, sulfonamides and tetracycline (ACSSuT), in 92% of human and 80% of food animal Salmonella isolates. The information from our study can assist in source attribution, outbreak investigations, and tailoring of interventions to maximize their impact on prevention.  相似文献   

17.
An unusual strain of Vibrio cholerae O1 biotype El Tor harbouring multiple tandem copies of classical CTX prophage caused a cholera epidemic in Mozambique in 2004. However, the location of the classical CTX prophage in the genome of the Mozambique strain was unknown. In this study, pulsed field gel electrophoresis (PFGE) of the whole genome along with Southern hybridization experiments indicated that the classical CTX prophage present in the Mozambique strain is located in the small chromosome. To determine the CTX prophage integration site in the small chromosome of Mozambique strain, the 5'and 3' junctions of the prophage and small chromosome were PCR amplified, cloned and sequenced. Sequence analysis indicated that the prophage was integrated in the conserved dif site of the replication terminus region of the Mozambique strain. While using an O1 El Tor isolate VC44 as a control strain, which carries tandem copies of CTX prophage in its small chromosome like the Mozambique strain, it was unexpectedly detected that the strain VC44 also possesses classical cholera toxin B gene allele. Since the strain VC44 was isolated in India in the year 1992, it appears that the Mozambique strain has probably originated from a VC44-like strain.  相似文献   

18.
Vibrio cholerae is a natural inhabitant of many aquatic environments in the world. Biotypes harboring similar virulence-related gene clusters are the causative agents of epidemic cholera, but the majority of strains are harmless to humans. Since 1971, environmental surveillance for potentially pathogenic V. cholerae has resulted in the isolation of many strains from the Brazilian Amazon aquatic ecosystem. Most of these strains are from the non-O1/non-O139 serogroups (NAGs), but toxigenic O1 strains were isolated during the Latin America cholera epidemic in the region (1991-1996). A collection of environmental V. cholerae strains from the Brazilian Amazon belonging to pre-epidemic (1977-1990), epidemic (1991-1996), and post-epidemic (1996-2007) periods in the region, was analyzed. The presence of genes related to virulence within the species and the genetic relationship among the strains were studied. These variables and the information available concerning the strains were used to build a Bayesian multivariate dependency model to distinguish the importance of each variable in determining the others. Some genes related to the epidemic strains were found in environmental NAGs during and after the epidemic. Significant diversity among the virulence-related gene content was observed among O1 strains isolated from the environment during the epidemic period, but not from clinical isolates, which were analyzed as controls. Despite this diversity, these strains exhibited similar PFGE profiles. PFGE profiles were significant while separating potentially epidemic clones from indigenous strains. No significant correlation with isolation source, place or period was observed. The presence of the WASA-1 prophage significantly correlated with serogroups, PFGE profiles, and the presence of virulence-related genes. This study provides a broad characterization of the environmental V. cholerae population from the Amazon, and also highlights the importance of identifying precisely defined genetic markers such as the WASA-1 prophage for the surveillance of cholera.  相似文献   

19.
We compared the antimicrobial resistance and clonal relationships among the community-acquired (CA) and hospital-acquired (HA) methicillin-resistant Staphylococcus aureus (MRSA) strains that were isolated from blood cultures in a university hospital over a 4-year period. A total of 131 MRSA isolates, including 28 CA-MRSA and 103 HA-MRSA strains, were identified; antimicrobial susceptibility testing indicated that the CA-MRSA isolates were more susceptible to erythromycin (21% vs 6%; P=0.02), clindamycin (46% vs 12%; P=0.01), ciprofloxacin (43% vs 11%; P=0.01), and gentamicin (43% vs 6%; P=0.01) than were the HA-MRSA isolates. Pulsed-field gel electrophoresis (PFGE) typing and antimicrobial resistance profiles separated the 20 CA-MRSA isolates into 14 and 10 different patterns, respectively, and the 53 HA-MRSA isolates were separated into 24 and 7 different patterns, respectively. Twenty-one (40%) of the 53 HA-MRSA isolates belonged to two predominant PFGE types, and most of them showed multi-drug resistant patterns. Four (20%) of the 20 CA-MRSA and 10 (19%) of the 53 HA-MRSA isolates fell into two common PFGE patterns, and each of them showed the same multi-drug resistant pattern. This study suggests that, although the CA-MRSA blood isolates showed diverse PFGE and antimicrobial resistance patterns, some of these isolates may have originated from the HA-MRSA strains.  相似文献   

20.
Forty-four Vibrio cholerae isolates collected over a 7-month period in Chennai, India in 2004 were characterized for gene traits, antimicrobial susceptibility and genomic fingerprints. All 44 isolates were identified as O1 El Tor Ogawa, positive for various toxigenic and pathogenic genes viz. ace, ctxB, hlyA, ompU, ompW, rfbO1, rtx, tcpA, toxR and zot. Nucleotide sequencing revealed the presence of cholera toxin B of classical biotype in all the El Tor isolates, suggesting infection of isolates by classical CTXΦ. Antibiogram analysis showed a broad-spectrum antibiotic resistance that was also confirmed by the presence of resistant genes in the genomes. All isolates contained a class 1 integron and an SXT constin. However, isolates were sensitive to chloramphenicol and tested negative for the chloramphenicol resistant gene suggesting a deletion in SXT constin. Fingerprinting analysis of isolates by ERIC- and Box PCR revealed similar DNA patterns indicating the clonal dissemination of a single predominant V. cholerae O1 strain throughout the 2004 outbreak in Chennai.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号